电子与通信技术:EDA技术必看考点(每日一练)

时间:2017-10-02 09:47:20

微信搜索关注"91考试网"公众号,领30元,获取事业编教师公务员等考试资料40G
题库试看结束后微信扫下方二维码即可打包下载完整版《★电子与通信技术》题库
手机用户可保存上方二维码到手机中,在微信扫一扫中右上角选择“从相册选取二维码”即可。

题库试看结束后微信扫下方二维码即可打包下载完整版《电子与通信技术:EDA技术》题库,分栏、分答案解析排版、小字体方便打印背记!经广大会员朋友实战检验,此方法考试通过率大大提高!绝对是您考试过关的不二利器
手机用户可保存上方二维码到手机中,在微信扫一扫中右上角选择“从相册选取二维码”即可。

1、单项选择题  电子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化)及提高运行速度(即速度优化),下列方法()不属于面积优化。

A、流水线设计
B、资源共享
C、逻辑优化
D、串行化


点击查看答案


2、单项选择题  在VHDL中()不能将信息带出对它定义的当前设计单元。

A.信号
B.常量
C.数据
D.变量


点击查看答案


3、单项选择题  下面数据中属于位矢量的是()。 www.91eXam.org

A.4.2
B.3
C.‘1’
D.“11011”


点击查看答案


4、单项选择题  ()在EDA工具中,能将硬件描述语言转换为硬件电路的重要工具软件称为。

A.仿真器
B.综合器
C.适配器
D.下载器


点击查看答案


5、单项选择题  对于信号和变量的说法,哪一 个是不正确的:()。

A.信号用于作为进程中局部数据存储单元
B.变量的赋值是立即完成的
C.信号在整个结构体内的任何地方都能适用
D.变量和信号的赋值符号不一样


点击查看答案


6、单项选择题  描述项目具有逻辑功能的是()。

A.实体
B.结构体
C.配置
D.进程


点击查看答案


7、单项选择题  在VHDL中,含WAIT语句的进程PROCESS的括弧中()再加敏感信号,否则则是非法的。

A.可以
B.不能
C.必须
D.有时可以


点击查看答案


8、单项选择题  STD_LOGIG_1164中定义的高阻是字符()。

A.X
B.x
C.z
D.Z


点击查看答案


9、单项选择题  MAX+PLUSII的设计文件不能直接保存在()。

A.硬盘
B.根目录
C.文件夹
D.工程目录


点击查看答案


10、单项选择题  下列关于变量的说法正确的是()。

A.变量是一个局部量,它只能在进程和子程序中使用
B.变量的赋值不是立即发生的,它需要有一个δ延时
C.在进程的敏感信号表中,既可以使用信号,也可以使用变量
D.变量赋值的一般表达式为:目标变量名<=表达式


点击查看答案


11、名词解释  VHDL和FPGA


点击查看答案


12、单项选择题  VHDL常用的库是()。

A.IEEE
B.STD
C.WORK
D.PACKAGE


点击查看答案


13、单项选择题  符合1987VHDL标准的标识符是()。

A.A_2
B.A+2
C.2A
D.22


点击查看答案


14、单项选择题  变量和信号的描述正确的是()。

A.变量赋值号是:=
B.信号赋值号是:=
C.变量赋值号是<=
D.二者没有区别


点击查看答案


15、单项选择题  在VHD、L语言中,下列对进程(PROC、ESS)语句的语句结构及语法规则的描述中,不正确的是()

A、PROC、ESS为一无限循环语句敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动。
B、敏感信号参数表中,不一定要列出进程中使用的所有输入信号
C、进程由说明部分、结构体部分、和敏感信号三部分组成
D、当前进程中声明的变量不可用于其他进程


点击查看答案


16、单项选择题  关于VHDL数据类型,正确的是()。

A.数据类型不同不能进行运算
B.数据类型相同才能进行运算
C.数据类型相同或相符就可以运算
D.运算与数据类型无关


点击查看答案


17、单项选择题  IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为()。

A.软IP
B.固IP
C.硬IP
D.都不是


点击查看答案


18、单项选择题  EDA的中文含义是()。

A.电子设计自动化
B.计算机辅助计算
C.计算机辅助教学
D.计算机辅助制造


点击查看答案


19、名词解释  SOC


点击查看答案


20、单项选择题  变量是局部量可以写在()。

A.实体中
B.进程中
C.线粒体
D.种子体中


点击查看答案


21、单项选择题  IP核在EDA技术和开发中具有十分重要的地位,IP分软IP、固IP、硬IP;下列所描述的IP核中,对于固IP的正确描述为().

A.提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路
B.提供设计的最总产品——模型库
C.以可执行文件的形式提交用户,完成了综合的功能块
D.都不是


点击查看答案


22、填空题  一般把EDA技术的发展分为()、()和()三个阶段。


点击查看答案


23、名词解释  ASIC


点击查看答案


24、单项选择题  下面对利用原理图输入设计方法进行数字电路系统设计,哪一种说法是正确的()。

A、原理图输入设计方法直观便捷,很适合完成较大规模的电路系统设计
B、原理图输入设计方法一般是一种自底向上的设计方法
C、原理图输入设计方法无法对电路进行功能描述
D、原理图输入设计方法不适合进行层次化设计


点击查看答案


25、单项选择题  下面哪一个是VHDL中的波形编辑文件的后缀名()。

A.gdf
B.scf
C.sys
D.tdf


点击查看答案


26、单项选择题  下列关于CASE语句的说法不正确的是()。

A.条件句中的选择值或标识符所代表的值必须在表达式的取值范围内。
B.CASE语句中必须要有WHENOTHERS=>NULL语句。
C.CASE语句中的选择值只能出现一次,且不允许有相同的选择值的条件语句出现。
D.CASE语句执行必须选中,且只能选中所列条件语句中的一条


点击查看答案


27、单项选择题  不属于顺序语句的是()。

A.IF语句
B.LOOP语句
C.PROCESS语句
D.CASE语句


点击查看答案


28、单项选择题  下面哪一个可以用作VHDL中的合法的实体名()。

A.OR
B.VARIABLE
C.SIGNAL
D.OUT1


点击查看答案


29、单项选择题  可以不必声明而直接引用的数据类型是()。

A.STD_LOGIC
B.STD_LOGIC_VECTOR
C.BIT
D.前面三个答案都是错误的


点击查看答案


30、填空题  EDA设计流程包括()、()、()和()四个步骤。


点击查看答案


31、单项选择题  大规模可编程器件主要有FPGA、CPLD两类,其中CPLD通过()实现其逻辑功能。

A.可编程乘积项逻辑
B.查找表(LUT)
C.输入缓冲
D.输出缓冲


点击查看答案


32、单项选择题  MAXPLUSII中编译VHDL源程序时要求()。

A.文件名和实体可不同名
B.文件名和实体名无关
C.文件名和实体名要相同
D.不确定


点击查看答案


33、单项选择题  状态机编码方式中,其中()占用触发器较多,但其简单的编码方式可减少状态译码组合逻辑资源,且易于控制非法状态。

A.一位热码编码
B.顺序编码
C.状态位直接输出型编码
D.格雷码编码


点击查看答案


34、单项选择题  下面数据中属于实数的是()。

A.4.2
B.3
C.‘1’
D.“11011”


点击查看答案


35、单项选择题  VHDL语言中信号定义的位置是()。

A.实体中任何位置
B.实体中特定位置
C.结构体中任何位置
D.结构体中特定位置


点击查看答案


36、单项选择题  MAXPLUSII中原理图的后缀是()。

A.DOC
B.GDF
C.BMP
D.JIF


点击查看答案


37、单项选择题  在VHD、L中用()来把特定的结构体关联一个确定的实体,为一个大型系统的设计提供管理和进行工程组织。

A、输入
B、输出
C、综合
D、配置


点击查看答案


38、名词解释  HDL


点击查看答案


39、单项选择题  MAXPLUSII不支持的输入方式是()。

A.文本输入
B.原理图输入
C.波形输入
D.矢量输入


点击查看答案


40、单项选择题  电子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化)下列方法中()不属于面积优化。

A.流水线设计
B.资源共享
C.逻辑优化
D.串行化


点击查看答案


41、单项选择题  在VHDL中,PROCESS本身是()语句。

A.顺序
B.顺序和并行
C.并行
D.任何


点击查看答案


42、填空题  在PC上利用VHDL进行项目设计,不允许在根目录下进行,必须在()为设计建立一个工程目录。


点击查看答案


43、单项选择题  下列标识符中,()是不合法的标识符。

A.State0
B.9moon
C.Not_Ack_0
D.signal


点击查看答案


44、名词解释  IP


点击查看答案


45、单项选择题  在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是()。

A、PROCESS为一无限循环语句
B、敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动
C、当前进程中声明的变量不可用于其他进程
D、进程由说明语句部分、并行语句部分和敏感信号参数表三部分组成


点击查看答案


46、单项选择题  下列状态机的状态编码,方式有“输出速度快、难以有效控制非法状态出现”这个特点。()

A、状态位直接输出型编码
B、一位热码编码
C、顺序编码
D、格雷编码


点击查看答案


47、单项选择题  使用STD_LOGIG_1164使用的数据类型时()。

A.可以直接调用
B.必须在库和包集合中声明
C.必须在实体中声明
D.必须在结构体中声明


点击查看答案


48、单项选择题  在VHDL的FOR_LOOP语句中的循环变量是一个临时变量,属于LOOP语句的局部量,()事先声明。

A.必须
B.不必
C.其类型要
D.其属性要


点击查看答案


49、名词解释  EDA


点击查看答案


50、填空题  图形文件设计结束后一定要通过(),检查设计文件是否正确。


点击查看答案


51、单项选择题  关键字ARCHITECTURE定义的是。

A.结构体
B.进程
C.实体
D.配置


点击查看答案


52、单项选择题  在一个VHDL设计中Idata是一个信号,数据类型为std_logic_ve ctor,试指出下面那个赋值语句是错误的。()。

A.idata<=“00001111”
B.idata<=b”0000_1111”
C.idata<=X”AB”
D.idata<=B”21”


点击查看答案


53、单项选择题  在VHDL中,PROCESS结构内部是由()语句组成的。

A.顺序
B.顺序和并行
C.并行
D.任何


点击查看答案


54、填空题  VHDL的数据对象包括()、()和(),它们是用来存放各种类型数据的容器。


点击查看答案


55、单项选择题  IP核在EDA技术和开发中具有十分重要的地位提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为()。

A.软IP
B.固IP
C.硬IP
D.全对


点击查看答案


56、问答题  硬件描述语言的突出优点是什么?


点击查看答案


57、单项选择题  关于1987标准的VHDL语言中,标识符描述正确的是()。

A.必须以英文字母开头
B.可以使用汉字开头
C.可以使用数字开头
D.任何字符都可以


点击查看答案


58、单项选择题  下面既是并行语句又是串行语句的是()。

A.变量赋值
B.信号赋值
C.PROCESS语句
D.WHEN…ELSE语句


点击查看答案


59、单项选择题  在MAX+PLUSII集成环境 下为图形文件产生一个元件符号的主要作用是()。

A.综合
B.编译
C.仿真
D.被高层次电路设计调用


点击查看答案


60、名词解释  FPGA


点击查看答案


61、问答题  WHEN_ELSE条件信号赋值语句和IF_ELSE顺序语句的异同?


点击查看答案


62、单项选择题  个项目的输入输出端口是定义在()。

A.实体中
B.结构体中
C.任何位置
D.进程体


点击查看答案


63、单项选择题  以下对于进程PROCESS的说法,正确的是:()。

A.进程之间可以通过变量进行通信
B.进程内部由一组并行语句来描述进程功能
C.进程语句本身是并行语句
D.一个进程可以同时描述多个时钟信号的同步时序逻辑


点击查看答案


64、单项选择题  下列关于信号的说法不正确的是()。

A.信号相当于器件内部的一个数据暂存节点。
B.信号的端口模式不必定义,它的数据既可以流进,也可以流出。
C.在同一进程中,对一个信号多次赋值,其结果只有第一次赋值起作用。
D.信号在整个结构体内的任何地方都能适用。


点击查看答案


65、单项选择题  IP核在EDA技术和开发中占有很重要的地位,提供VHD、L硬件描述语言功能块,但不涉及实现该功能模块的具体电路的IP核为()。

A、硬件IP
B、固件IP
C、软件IP
D、都不是


点击查看答案


66、单项选择题  在EDA工具中,能完成在目标系统器件上布局布线软件称为()。

A.仿真器
B.综合器
C.适配器
D.下载器


点击查看答案


67、单项选择题  嵌套的IF语句,其综合结果可实现()。

A.条件相与的逻辑
B.条件相或的逻辑
C.条件相异或的逻辑
D.三态控制电路


点击查看答案


68、问答题  结构体的三种描述方式。


点击查看答案


69、名词解释 & www.91exAm.orgnbsp;JTAG


点击查看答案


70、单项选择题  在VHDL的CASE语句中,条件句中的“=>”不是操作符号,它只相当与()作用。

A.IF
B.THEN
C.AND
D.OR


点击查看答案


71、单项选择题  在一个VHDL设计中idata是一个信号,数据类型为integer,数据范围0to127,下面哪个赋值语句是正确的。()。

A.idata:=32
B.idata<=16#A0#
C.idata<=16#7#E1
D.idata:=B#1010#


点击查看答案


72、填空题  EDA设计输入主要包括()、()和()。


点击查看答案


73、单项选择题  在VHDL中,一个设计实体可以拥有一个或多个()。

A.设计实体
B.结构体
C.输入
D.输出


点击查看答案


74、单项选择题  综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中()是错误的。

A.综合就是将电路的高级语言转化成低级的,可与FPGA/CPLD的基本结构相映射的网表文件
B.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束
C.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的
D.综合是纯软件的转换过程,与器件硬件结构无关


点击查看答案


75、单项选择题  如果a=1,b=0,则逻辑表达式(aANDb)OR(NOTbANDa)的值是()。

A.0
B.1
C.2
D.不确定


点击查看答案


76、单项选择题  如果a=1,b=1,则逻辑表达式(aXORb)OR(NOTbANDa)的值是()。

A.0
B.1
C.2
D.不确定


点击查看答案


77、填空题  时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为功能()。


点击查看答案


78、单项选择题  STD_LOGIG_1164中字符H定义的是()。

A.弱信号1
B.弱信号0
C.没有这个定义
D.初始值


点击查看答案


79、单项选择题  既补血滋阴,又益精填髓的药物是()

A.熟地黄
B.阿胶
C.黄精
D.生首乌
E.枸杞子


点击查看答案


80、单项选择题  在VHDL中,语句”FORIIN0TO7LOOP”定义循环次数为()次。

A.8
B.7
C.0
D.1


点击查看答案


81、单项选择题  大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是()。

A.CPLD是基于查找表结构的可编程逻辑器件
B.CPLD即是现场可编程逻辑器件的英文简称
C.早期的CPLD是从FPGA的结构扩展而来
D.在Xilinx公司生产的器件中,XC9500系列属CPLD结构


点击查看答案


82、单项选择题  在VHDL中,可以用语句()表示检测clock下降沿。

A.clock’event
B.clock’eventandclock=’1’
C.clock=’0’
D.clock’eventandclock=’0’


点击查看答案


83、单项选择题  VHDL运算符优先级的说法正确的是()。

A.逻辑运算的优先级最高
B.关系运算的优先级最高
C.逻辑运算的优先级最低
D.关系运算的优先级最低


点击查看答案


84、填空题  MAX+PLUS的文本文件类型是().()。


点击查看答案


85、单项选择题  VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库()。

A、IEEE库
B、VITAL库
C、STD库
D、WORK工作库


点击查看答案


86、单项选择题  下列4个VHDL标识符中正确的是:()。

A、10#128#
B、16#E#E1
C、74HC124
D、X_16


点击查看答案


87、单项选择题  VHDL中,为目标变量赋值符号是()。

A.=:
B.=
C.<=
D.:=


点击查看答案


88、单项选择题  下列那个流程是正确的基于EDA软件的FPGA/CPLD设计流程:()。

A.原理图/HDL文本输入→功能仿真→综合→适配→编程下载→硬件测试
B.原理图/HDL文本输入→适配→综合→功能仿真→编程下载→硬件测试
C.原理图/HDL文本输入→功能仿真→综合→编程下载→→适配硬件测试
D.原理图/HDL文本输入→功能仿真→适配→编程下载→综合→硬件测试


点击查看答案


89、单项选择题  在设计输入完成后,应立即对设计文件进行()。

A.编辑
B.编译
C.功能仿真
D.时序仿真


点击查看答案


90、单项选择题  EPF10K20TC144-4具有多少个管脚()。

A.144个
B.84个
C.15个
D.不确定


点击查看答案


91、单项选择题  下列语句中,不属于并行语句的是:()。

A.进程语句
B.CASE语句
C.元件例化语句
D.WHEN…ELSE…语句


点击查看答案


92、单项选择题  不完整的IF语句,其综合结果可实现()。

A.时序逻辑电路
B.组合逻辑电路
C.双向电路
D.三态控制电路


点击查看答案


93、填空题  以EDA方式设计实现的电路设计文件,最终可以编程下到()和()芯片中,完成硬件设计和验证。


点击查看答案


94、名词解释  布局布线


点击查看答案


95、单项选择题  1987标准的VHDL语言对大小写是()。

A.敏感的
B.只能用小写
C.只能用大写
D.不敏感


点击查看答案


96、单项选择题  基于VHDL设计的仿真包括有①门级时序仿真、②行为仿真、③功能仿真和④前端功能仿真这四种,按照自顶向下的设计流程,其先后顺序应该是()。

A.①②③④
B.②①④③
C.④③②①
D.②④③①


点击查看答案


97、问答题  用VHDL/VeilogHDL语言开发可编程逻辑电路的完整流程。


点击查看答案


98、单项选择题  VHDL语言中变量定义的位置是()。

A.实体中中任何位置
B.实体中特定位置
C.结构体中任何位置
D.结构体中特定位置


点击查看答案


99、单项选择题  在元件例化语句中,用()符号实现名称映射,将例化元件端口声明语句中的信号与PORTMAP()中的信号名关联起来。

A.=
B.:=
C.<=
D.=>


点击查看答案


100、单项选择题  在VHDL中,为定义的信号赋初值,应该使用()符号。

A.=:
B.=
C.:=
D.<=


点击查看答案


题库试看结束后微信扫下方二维码即可打包下载完整版《★电子与通信技术》题库
手机用户可保存上方二维码到手机中,在微信扫一扫中右上角选择“从相册选取二维码”即可。

题库试看结束后微信扫下方二维码即可打包下载完整版《电子与通信技术:EDA技术》题库,分栏、分答案解析排版、小字体方便打印背记!经广大会员朋友实战检验,此方法考试通过率大大提高!绝对是您考试过关的不二利器
手机用户可保存上方二维码到手机中,在微信扫一扫中右上角选择“从相册选取二维码”即可。

微信搜索关注"91考试网"公众号,领30元,获取公务员事业编教师考试资料40G